Forrest logo
back to the ghdl tool

ghdl:tldr:26208

ghdl: Elaborate a design (where `{{design}}` is the name of a configuration unit, entity unit or architecture unit).
$ ghdl -e ${design}
try on your machine

The command "ghdl -e ${design}" is a command-line instruction that uses a tool called GHDL. GHDL is a compiler and simulator used for VHDL (VHSIC Hardware Description Language) code.

In this command, "${design}" is a placeholder that represents the name of the VHDL design you want to compile and simulate. You need to replace "${design}" with the actual name of your design file without the ".vhd" extension.

The "-e" flag stands for "elaborate", which tells GHDL to compile the VHDL code and create an elaborated design. Elaboration is the process of transforming the VHDL code into a hierarchical design, which represents the structure of your design and its components.

When you execute this command, GHDL will compile the specified VHDL design file and generate the elaborated design for simulation or subsequent steps in the design flow.

This explanation was created by an AI. In most cases those are correct. But please always be careful and never run a command you are not sure if it is safe.
back to the ghdl tool