Forrest logo
tool overview
On this page you find all important commands for the CLI tool ghdl. If the command you are looking for is missing please ask our AI.

ghdl

ghdl is a popular open-source command line tool used for simulating and synthesizing digital circuits written in VHDL (Very High Speed Integrated Circuit Hardware Description Language). It is developed and maintained by the GHDL project team.

Some key features of ghdl include:

  1. Language Support: ghdl supports various versions of VHDL, including VHDL 1987, 1993, 2002, and 2008. It supports a wide range of VHDL constructs for modeling complex digital designs.
  2. Simulation: ghdl provides a fast and efficient simulation engine that allows users to simulate their VHDL designs. It supports different modes of simulation, such as running the simulation to the end, or a certain amount of time, or even until a specific event occurs.
  3. Debugging: ghdl offers various debugging capabilities to assist users in finding and fixing issues in their designs. It supports adding breakpoints, stepping through code, and examining variable values during simulation.
  4. Synthesis: ghdl can also generate synthesized netlists from VHDL designs, which can be further used for physical implementation on FPGAs (Field-Programmable Gate Arrays) or ASICs (Application-Specific Integrated Circuits).
  5. Cross-Platform: ghdl is available for various platforms, including Linux, macOS, and Windows, making it convenient for users to work with the tool on their preferred operating system.

Overall, ghdl is a versatile command line tool that provides VHDL designers with a powerful environment for simulating and synthesizing digital circuits, helping them to verify their designs and generate optimized implementations.

List of commands for ghdl:

  • ghdl:tldr:26208 ghdl: Elaborate a design (where `{{design}}` is the name of a configuration unit, entity unit or architecture unit).
    $ ghdl -e ${design}
    try on your machine
    explain this command
  • ghdl:tldr:29dd7 ghdl: Display the help page.
    $ ghdl --help
    try on your machine
    explain this command
  • ghdl:tldr:35dfc ghdl: Run an elaborated design and dump output to a waveform file.
    $ ghdl -r ${design} --wave=${output-ghw}
    try on your machine
    explain this command
  • ghdl:tldr:5440b ghdl: Run an elaborated design.
    $ ghdl -r ${design}
    try on your machine
    explain this command
  • ghdl:tldr:b5ba0 ghdl: Analyze a VHDL source file and produce an object file.
    $ ghdl -a ${filename-vhdl}
    try on your machine
    explain this command
  • ghdl:tldr:f37f1 ghdl: Check the syntax of a VHDL source file.
    $ ghdl -s ${filename-vhdl}
    try on your machine
    explain this command
tool overview