Forrest logo
back to the ghdl tool

ghdl:tldr:35dfc

ghdl: Run an elaborated design and dump output to a waveform file.
$ ghdl -r ${design} --wave=${output-ghw}
try on your machine

This command is used to run a VHDL design using the GHDL simulator and generate a waveform file.

  • ghdl is the command to invoke the GHDL simulator.
  • -r is the option to run the design.
  • ${design} is a placeholder for the name of the VHDL design file you want to run. You need to replace ${design} with the actual filename (without the file extension) or the path to the design file.
  • --wave=${output-ghw} is the option to generate a waveform file in the specified format. The ${output-ghw} is a placeholder for the name of the output waveform file, which you need to replace with the desired filename (without the file extension).

To use this command, you will need to have GHDL installed on your system and the appropriate VHDL design files and testbenches prepared. The waveform file generated can be used to visualize the signal traces and behavior of your design during simulation.

This explanation was created by an AI. In most cases those are correct. But please always be careful and never run a command you are not sure if it is safe.
back to the ghdl tool