Forrest logo
back to the ghdl tool

ghdl:tldr:5440b

ghdl: Run an elaborated design.
$ ghdl -r ${design}
try on your machine

The command "ghdl -r ${design}" is a command used to run a simulation or execute a design in GHDL, which is a popular open-source VHDL simulator.

Here is a breakdown of the command:

  • "ghdl" is the name of the GHDL simulator command-line tool.
  • "-r" is an option that tells GHDL to run a simulation or execute a design.
  • "${design}" is a placeholder or variable that should be replaced with the actual name of the design entity or file you want to simulate. The "${design}" indicates that the value will be supplied as an input when running the command.

For example, if you have a VHDL file named "my_design.vhd" that contains a design entity called "my_entity", you can run the simulation by replacing "${design}" with "my_entity" like this:

ghdl -r my_entity

The GHDL simulator will then launch and start simulating the specified "my_entity" design.

This explanation was created by an AI. In most cases those are correct. But please always be careful and never run a command you are not sure if it is safe.
back to the ghdl tool