Forrest logo
back to the iverilog tool

iverilog:tldr:a3698

iverilog: Compile and run explicitly using the VVP runtime.
$ iverilog -o ${path-to-executable} -tvvp ${path-to-source-v}
try on your machine

The command "iverilog" is a compiler used for the simulation of digital circuits written in hardware description languages like Verilog or VHDL.

Here is the breakdown of the command:

  • "iverilog" is the name of the compiler itself.
  • "-o" is used to specify the output file, which will be the executable file generated as a result of the compilation.
  • "${path-to-executable}" should be replaced with the desired path and name for the generated executable file.
  • "-tvvp" is used to enable waveform dumping during simulation. The "t" option means it will generate textual (ASCII) waveforms, the "v" option means it will generate VCD (Value Change Dump) waveforms, and the final "p" option means waveform dumping is enabled.
  • "${path-to-source-v}" is the path and name of the Verilog source file that you want to compile.

Overall, this command compiles the specified Verilog source file using the iverilog compiler and generates an executable file for simulation, allowing waveform dumping in VCD and textual formats.

This explanation was created by an AI. In most cases those are correct. But please always be careful and never run a command you are not sure if it is safe.
back to the iverilog tool