Forrest logo
tool overview
On this page you find all important commands for the CLI tool iverilog. If the command you are looking for is missing please ask our AI.

iverilog

Iverilog is a command line tool used for compiling and simulating digital logic designs described in the Verilog hardware description language (HDL). It is a widely used tool in the field of digital design and verification.

  1. Iverilog is an open-source tool and is available for multiple platforms including Linux, macOS, and Windows.
  2. It supports IEEE standard Verilog-1995, as well as some features from more recent Verilog-2001 and Verilog-2005 standards.
  3. The tool takes Verilog source files as input and compiles them into an executable simulation file.
  4. It performs syntax and semantic checks on the Verilog code to ensure it is free from errors.
  5. Iverilog allows users to specify various simulation parameters such as timescale, simulation duration, and module hierarchy.
  6. It supports both behavioral and structural modeling styles in Verilog.
  7. Users can generate waveforms, test vectors, or perform interactive simulation with the compiled Verilog design.
  8. The tool can generate VCD (Value Change Dump) files for waveform viewing and analysis using waveform viewers like GTKWave.
  9. Iverilog supports basic assertion-based verification using the assert statement in Verilog code.
  10. It is often used in conjunction with other open-source tools like GTKWave and Cocotb for a complete digital system simulation and verification flow.

List of commands for iverilog:

  • iverilog:tldr:14e23 iverilog: Preprocess Verilog code without compiling.
    $ iverilog -E ${path-to-source-v}
    try on your machine
    explain this command
  • iverilog:tldr:4471e iverilog: Compile using Verilog library files from a different path.
    $ iverilog ${path-to-source-v} -o ${path-to-executable} -I${path-to-library_directory}
    try on your machine
    explain this command
  • iverilog:tldr:a3698 iverilog: Compile and run explicitly using the VVP runtime.
    $ iverilog -o ${path-to-executable} -tvvp ${path-to-source-v}
    try on your machine
    explain this command
  • iverilog:tldr:bc840 iverilog: Compile a source file into an executable.
    $ iverilog ${path-to-source-v} -o ${path-to-executable}
    try on your machine
    explain this command
tool overview